登录

  • 登录
  • 忘记密码?点击找回

注册

  • 获取手机验证码 60
  • 注册

找回密码

  • 获取手机验证码60
  • 找回
毕业论文网 > 毕业论文 > 理工学类 > 自动化 > 正文

出租车计费系统的设计与仿真毕业论文

 2021-04-17 11:04  

摘 要

伴随着出租车行业的壮大,对出租车计价器的要求也越来越高。为了适应现代出租车的需要,生产出可靠、稳定、灵活性强、开发周期短、维护简易的出租车计费器,需要设计一款新的出租车计费系统。

本文提出了一款基于EDA技术的出租车计费系统。该系统在QuartusII 9.0平台上进行设计,运用VHDL语言描述出租车计费系统的功能,使之能实现模拟汽车启动、停止、计价器预置起步价与单价、收费、暂停、重置等功能,并使用多个四位七段数码管对总价、行驶里程、单价、等候时间等信息进行输出。

该系统经过在QuartusII中进行仿真,能实现输出行走里程、等候时间、单价、总价等,达到了本设计对系统的功能要求。

关键字:QuartusII;VHDL;FPGA;出租车计费

Abstract

With the expansion of the taxi industry, the demand for taximeters is also increasing. In order to meet the needs of modern taxis, to produce a taxi meter that is reliable, stable, flexible, short in development cycle, and easy to maintain, a new taxi billing system needs to be designed.

This paper presents a taxi billing system based on EDA technology. The system is designed on the Quartus II 9.0 platform and uses the VHDL language to describe the functions of the taxi billing system, enabling it to simulate vehicle start-up, stop, pre-determined starting prices and unit prices, charges, pauses, and resets. And use multiple four-digit seven-segment digital tube to output information such as total price, mileage, unit price, and waiting time.

The system is simulated in the Quartus II system, the system can achieve output mileage, waiting time, unit price, total price, etc., to achieve the functional requirements of the design of the system.

Key Words: QuartusII; VHDL; FPGA; Taxi Billing

目 录

第1章 绪论 1

1.1研究目的与意义 1

1.2国内外研究现状 1

第2章 系统结构与设计方案 3

2.1基于FPGA实现出租车计费系统的系统结构 3

2.2系统设计方案 4

2.2.1工具选择 4

2.2.2设计语言 5

2.2.3芯片选型 5

小结 6

第3章 功能模块的设计与实现 7

3.1分频模块 8

3.2计时模块 9

3.3时间模块 10

3.4速度模块 12

3.5计程模块 14

3.6计费模块 15

3.7显示模块 17

小结 19

第4章 系统设计与仿真 20

4.1系统总体结构图 20

4.2系统总体仿真 20

小结 22

第5章 总结 23

参考文献 24

附录A系统各模块详细程序 25

致 谢 40

第1章 绪论

1.1研究目的与意义

随着时代的发展,科技的不断进步,人们对出行时的交通工具也有了越来越高的要求。而出租车作为日常生活的公共交通之一,扮演着越来越重要的角色。而出租车计价器作为出租车系统的一个重要组成部分,其计费功能是否准确,功能是否稳定,对整个系统的影响是很大的。出租车计价器是一种计量工具,会根据租车持续时间以及通过里程传感器行驶的距离来计算最终的价格并显示出来。

从诞生到现在,出租车计费系统一共经历了三个时代。第一个时代:机械结构的时代。出租车计价器基本不具备计费功能,只能简单计算里程,费用需要司机自己计算。第二个时代:与手摇计算机结合的时代。实现了半机械半电子化,已经可以在计算里程的同时计算价格。第三个时代:在伴随着大规模集成电路的出现,尤其是单片机的出现,出租车计价器就实现了全电子化。并且随着各种大规模集成电路的发展,使得第三代计价器不仅能计程与计价,还具备打印、IC卡等各种功能。

出租车行业在我国兴起于八十年代初,但当时出租车计价器大多是进口国外的,不仅价格高昂,功能也很不稳定,计价不准确。随着行业的快速发展,国内也有厂家推出国产的出租车计价器,其性能和精确度也不断上升,价格相对低廉。

随着国内出租车行业越来越壮大,传统计价器正面临着重重问题:运行不稳定,会出现宕机现象;不同地域有着不同的收费标准,即使是同一个地方,不同公司也存在着不一样的收费标准;另外,计价器还面临着随油价涨跌而进行的价格调整等问题。因而一个稳定而且易于调整的计价器是十分需要被设计出来。

1.2国内外研究现状

在国内,目前大部分出租车仍然使用的是基于单片机的出租车计费系统,功能相对单一,系统不稳定,通用性低。但在近几年里,也涌现了一大批应用EDA技术设计的出租车计费系统,有大部分都是使用CPLD来进行设计,只有少部分使用FPGA。同时也出现了一些应用GPS定位技术获取出租车行驶路径来进行设计的出租车计费系统。

在国外,虽然对出租车计费系统的研究较少,只有小部分基于EDA技术的出租车计费系统的出现,但其出租车系统已经朝着现代化的方向发展。车上不仅安装计价器,还会安装定位器、GPS、集群呼叫等。应用GPS系统,出租车调度部门可以很快的知道出租车的位置,以及空车的数量,以方便调度。同时因车载GPS技术的发展与成熟,出租车的当前位置和行动轨迹都会被掌握。

而从目前国内外的各种研究方法来看,计费器总共有三种方案,利用大规模的数字逻辑器件来实现,利用单片机实现,利用CPLD/FPGA实现。

采用大规模的数字逻辑器件来实现的计费器体积较大,由于使用的逻辑器件多,一旦其中一个器件发生故障,就会导致整个系统的瘫痪,而且排查难度很大。而对于模式转换需要用到机械开关,时间久了就会出现接触不良的情况。这些都使得这种方法被逐步淘汰。

所以目前所广泛使用的计费器都是利用 89C51 单片机实现的。计费器的大部分功能都可以用单片机来实现。但是单片机程序是不通用的,不同的芯片使用不同的语言,因此设计研发周期长。如果系统设计的不好,会十分不稳定。而且单片机不够灵活,不易实现功能复杂的设计,需要多个单片机进行组合,导致计价器体积较大。

您需要先支付 80元 才能查看全部内容!立即支付

企业微信

Copyright © 2010-2022 毕业论文网 站点地图